CW9721>APRS,TCPXX*,qAX,CWOP-3:@011453z3648.00N/07606.00W_360/002g008t072r000p000P000h76b10131L174.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-7:@011459z3648.00N/07606.00W_360/003g006t072r000p000P000h76b10131L190.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-6:@011503z3648.00N/07606.00W_360/003g006t072r000p000P000h77b10130L209.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-7:@011508z3648.00N/07606.00W_360/003g005t072r000p000P000h76b10130L244.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-4:@011513z3648.00N/07606.00W_360/000g005t072r000p000P000h77b10129L294.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-6:@011518z3648.00N/07606.00W_360/002g004t072r000p000P000h76b10128L403.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-5:@011523z3648.00N/07606.00W_360/003g006t073r000p000P000h75b10128L958.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-5:@011528z3648.00N/07606.00W_360/003g006t073r000p000P000h75b10128L483.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-3:@011533z3648.00N/07606.00W_360/002g006t074r000p000P000h74b10127L338.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-5:@011538z3648.00N/07606.00W_360/003g006t074r000p000P000h73b10127L330.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-6:@011543z3648.00N/07606.00W_360/002g006t074r000p000P000h72b10128L374.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-5:@011548z3648.00N/07606.00W_360/002g005t074r000p000P000h73b10129L432.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-4:@011553z3648.00N/07606.00W_360/007g009t074r000p000P000h72b10128L854.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-4:@011559z3648.00N/07606.00W_360/006g009t074r000p000P000h71b10129L788.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-5:@011603z3648.00N/07606.00W_360/006g010t074r000p000P000h71b10128L594.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-4:@011614z3648.00N/07606.00W_360/006g011t074r000p000P000h68b10129L399.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-4:@011624z3648.00N/07606.00W_360/006g011t073r000p000P000h68b10129L496.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-4:@011629z3648.00N/07606.00W_360/006g011t073r000p000P000h69b10130L626.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-5:@011634z3648.00N/07606.00W_360/003g010t074r000p000P000h69b10131L766.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-3:@011638z3648.00N/07606.00W_360/004g008t074r000p000P000h68b10131L949.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-5:@011644z3648.00N/07606.00W_360/005g008t074r000p000P000h67b10131L896.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-6:@011648z3648.00N/07606.00W_360/005g010t075r000p000P000h67b10132L942.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-5:@011653z3648.00N/07606.00W_360/005g011t075r000p000P000h68b10130L939.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-3:@011658z3648.00N/07606.00W_360/007g011t075r000p000P000h66b10131L858.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-5:@011703z3648.00N/07606.00W_360/005g011t075r000p000P000h66b10131L991.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-7:@011709z3648.00N/07606.00W_360/006g010t076r000p000P000h67b10131l021.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-7:@011714z3648.00N/07606.00W_360/006g012t076r000p000P000h68b10131l051.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-5:@011718z3648.00N/07606.00W_360/005g012t076r000p000P000h66b10130l041.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-5:@011723z3648.00N/07606.00W_360/006g011t077r000p000P000h64b10131l092.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-7:@011729z3648.00N/07606.00W_360/007g010t077r000p000P000h65b10131l164.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-5:@011734z3648.00N/07606.00W_360/007g011t077r000p000P000h65b10131l121.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-6:@011739z3648.00N/07606.00W_360/008g013t077r000p000P000h63b10130L719.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-6:@011744z3648.00N/07606.00W_360/006g013t077r000p000P000h63b10129L492.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-6:@011748z3648.00N/07606.00W_360/006g011t076r000p000P000h63b10130L420.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-4:@011753z3648.00N/07606.00W_360/006g011t076r000p000P000h63b10130l037.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-3:@011758z3648.00N/07606.00W_360/007g013t076r000p000P000h62b10129L485.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-7:@011803z3648.00N/07606.00W_360/004g013t076r000p000P000h63b10127L919.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-4:@011813z3648.00N/07606.00W_360/006g010t076r000p000P000h62b10127L568.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-6:@011819z3648.00N/07606.00W_360/006g010t076r000p000P000h63b10127L339.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-7:@011824z3648.00N/07606.00W_360/006g011t076r000p000P000h63b10126L439.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-6:@011829z3648.00N/07606.00W_360/006g011t076r000p000P000h61b10125L302.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-4:@011844z3648.00N/07606.00W_360/006g012t077r000p000P000h60b10126L782.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-3:@011849z3648.00N/07606.00W_360/006g010t077r000p000P000h60b10124L807.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-6:@011854z3648.00N/07606.00W_360/005g010t077r000p000P000h62b10125L816.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-4:@011859z3648.00N/07606.00W_360/008g012t078r000p000P000h59b10125L819.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-5:@011904z3648.00N/07606.00W_360/007g014t077r000p000P000h58b10125L255.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-5:@011908z3648.00N/07606.00W_360/006g014t077r000p000P000h59b10125L868.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-6:@011913z3648.00N/07606.00W_360/001g011t077r000p000P000h59b10125L802.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-5:@011918z3648.00N/07606.00W_360/007g011t077r000p000P000h59b10122L788.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-5:@011923z3648.00N/07606.00W_360/008g013t078r000p000P000h58b10121L779.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-6:@011928z3648.00N/07606.00W_360/005g013t077r000p000P000h57b10121L763.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-6:@011933z3648.00N/07606.00W_360/008g012t078r000p000P000h58b10121L754.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-7:@011938z3648.00N/07606.00W_360/006g012t078r000p000P000h59b10121L744.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-5:@011943z3648.00N/07606.00W_360/005g012t078r000p000P000h57b10120L733.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-6:@011949z3648.00N/07606.00W_360/005g012t079r000p000P000h58b10120L717.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-4:@011954z3648.00N/07606.00W_360/006g011t079r000p000P000h57b10121L708.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-7:@011959z3648.00N/07606.00W_360/006g011t079r000p000P000h58b10121L689.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-5:@012004z3648.00N/07606.00W_360/006g011t079r000p000P000h57b10121L696.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-4:@012009z3648.00N/07606.00W_360/007g013t079r000p000P000h59b10121L673.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-7:@012014z3648.00N/07606.00W_360/008g012t079r000p000P000h59b10122L638.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-6:@012023z3648.00N/07606.00W_360/005g011t078r000p000P000h61b10122L621.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-4:@012028z3648.00N/07606.00W_360/006g010t078r000p000P000h61b10122L598.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-7:@012033z3648.00N/07606.00W_360/002g010t078r000p000P000h61b10122L589.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-4:@012038z3648.00N/07606.00W_360/005g008t078r000p000P000h61b10123L578.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-4:@012044z3648.00N/07606.00W_360/005g009t078r000p000P000h61b10123L563.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-4:@012048z3648.00N/07606.00W_360/006g011t078r000p000P000h62b10124L552.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-6:@012053z3648.00N/07606.00W_360/001g011t078r000p000P000h63b10124L529.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-3:@012059z3648.00N/07606.00W_360/002g007t078r000p000P000h62b10125L496.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-3:@012109z3648.00N/07606.00W_360/003g007t079r000p000P000h61b10126L441.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-7:@012114z3648.00N/07606.00W_360/004g008t079r000p000P000h60b10126L394.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-4:@012118z3648.00N/07606.00W_360/002g008t079r000p000P000h61b10126L376.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-6:@012123z3648.00N/07606.00W_360/004g008t079r000p000P000h61b10126L343.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-4:@012128z3648.00N/07606.00W_360/004g009t078r000p000P000h62b10127L304.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-7:@012133z3648.00N/07606.00W_360/005g009t078r000p000P000h62b10127L288.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-7:@012138z3648.00N/07606.00W_360/002g009t078r000p000P000h63b10126L272.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-7:@012143z3648.00N/07606.00W_360/003g010t078r000p000P000h62b10127L158.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-3:@012148z3648.00N/07606.00W_360/002g010t078r000p000P000h63b10126L093.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-6:@012153z3648.00N/07606.00W_360/003g005t077r000p000P000h64b10125L062.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-3:@012158z3648.00N/07606.00W_360/006g009t077r000p000P000h63b10125L053.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-6:@012203z3648.00N/07606.00W_360/004g009t076r000p000P000h64b10125L044.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-3:@012209z3648.00N/07606.00W_.../...g007t076r000p000P000h64b10125L040.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-4:@012219z3648.00N/07606.00W_.../...g009t075r000p000P000h66b10125L086.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-5:@012224z3648.00N/07606.00W_360/000g009t075r000p000P000h68b10125L033.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-4:@012228z3648.00N/07606.00W_360/004g007t075r000p000P000h68b10124L032.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-6:@012233z3648.00N/07606.00W_360/004g009t074r000p000P000h68b10126L028.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-3:@012238z3648.00N/07606.00W_.../...g011t074r000p000P000h69b10124L028.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-7:@012243z3648.00N/07606.00W_360/001g011t074r000p000P000h70b10124L026.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-7:@012248z3648.00N/07606.00W_360/003g007t073r000p000P000h71b10125L025.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-4:@012254z3648.00N/07606.00W_360/003g007t073r000p000P000h72b10126L025.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-7:@012259z3648.00N/07606.00W_360/001g007t073r000p000P000h73b10127L023.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-7:@012309z3648.00N/07606.00W_360/001g005t072r000p000P000h74b10127L021.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-6:@012314z3648.00N/07606.00W_360/002g003t072r000p000P000h74b10128L019.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-6:@012323z3648.00N/07606.00W_360/003g005t072r000p000P000h75b10127L016.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-7:@012329z3648.00N/07606.00W_360/003g005t072r000p000P000h75b10127L014.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-3:@012334z3648.00N/07606.00W_360/001g005t072r000p000P000h75b10126L012.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-5:@012338z3648.00N/07606.00W_360/003g006t072r000p000P000h75b10127L011.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-3:@012343z3648.00N/07606.00W_360/002g006t071r000p000P000h75b10127L009.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-5:@012348z3648.00N/07606.00W_360/001g004t071r000p000P000h75b10128L005.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-3:@012353z3648.00N/07606.00W_360/002g003t071r000p000P000h76b10129L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-3:@012358z3648.00N/07606.00W_360/001g004t071r000p000P000h76b10128L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-4:@020008z3648.00N/07606.00W_360/002g005t070r000p000P000h76b10128L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-7:@020013z3648.00N/07606.00W_360/001g005t070r000p000P000h77b10130L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-7:@020019z3648.00N/07606.00W_360/001g003t070r000p000P000h77b10131L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-3:@020024z3648.00N/07606.00W_360/002g004t070r000p000P000h77b10130L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-3:@020028z3648.00N/07606.00W_360/001g005t070r000p000P000h78b10131L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-3:@020033z3648.00N/07606.00W_360/002g006t070r000p000P000h78b10131L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-5:@020038z3648.00N/07606.00W_360/001g004t069r000p000P000h79b10132L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-7:@020049z3648.00N/07606.00W_360/000g002t069r000p000P000h79b10134L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-7:@020054z3648.00N/07606.00W_360/000g001t069r000p000P000h80b10134L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-6:@020058z3648.00N/07606.00W_360/000g001t069r000p000P000h80b10135L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-5:@020103z3648.00N/07606.00W_360/000g001t068r000p000P000h80b10135L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-5:@020108z3648.00N/07606.00W_360/000g001t068r000p000P000h81b10136L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-4:@020119z3648.00N/07606.00W_360/000g001t068r000p000P000h81b10136L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-5:@020123z3648.00N/07606.00W_360/000g001t067r000p000P000h82b10136L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-4:@020128z3648.00N/07606.00W_360/001g001t067r000p000P000h82b10138L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-7:@020134z3648.00N/07606.00W_360/000g001t067r000p000P000h83b10138L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-6:@020139z3648.00N/07606.00W_360/001g002t067r000p000P000h83b10140L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-4:@020149z3648.00N/07606.00W_360/000g001t066r000p000P000h84b10141L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-7:@020154z3648.00N/07606.00W_360/000g001t066r000p000P000h84b10139L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-5:@020158z3648.00N/07606.00W_360/000g001t066r000p000P000h85b10141L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-6:@020203z3648.00N/07606.00W_360/000g001t066r000p000P000h85b10142L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-5:@020208z3648.00N/07606.00W_360/001g001t066r000p000P000h85b10142L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-7:@020213z3648.00N/07606.00W_360/000g002t065r000p000P000h86b10141L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-5:@020218z3648.00N/07606.00W_360/000g002t065r000p000P000h86b10141L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-5:@020229z3648.00N/07606.00W_360/000g001t065r000p000P000h86b10142L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-7:@020234z3648.00N/07606.00W_360/000g001t065r000p000P000h87b10142L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-3:@020244z3648.00N/07606.00W_360/000g001t065r000p000P000h87b10141L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-6:@020254z3648.00N/07606.00W_360/000g000t064r000p000P000h87b10141L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-5:@020259z3648.00N/07606.00W_360/000g001t064r000p000P000h87b10140L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-6:@020304z3648.00N/07606.00W_360/000g001t064r000p000P000h87b10141L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-6:@020314z3648.00N/07606.00W_360/000g001t064r000p000P000h88b10141L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-5:@020319z3648.00N/07606.00W_360/000g000t064r000p000P000h88b10140L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-5:@020329z3648.00N/07606.00W_360/000g001t064r000p000P000h88b10142L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-4:@020334z3648.00N/07606.00W_360/000g001t063r000p000P000h88b10140L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-4:@020338z3648.00N/07606.00W_360/000g000t063r000p000P000h88b10141L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-4:@020343z3648.00N/07606.00W_360/000g000t063r000p000P000h88b10141L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-3:@020348z3648.00N/07606.00W_360/000g000t063r000p000P000h89b10141L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-6:@020354z3648.00N/07606.00W_360/000g000t063r000p000P000h89b10141L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-7:@020359z3648.00N/07606.00W_360/000g000t063r000p000P000h89b10142L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-3:@020403z3648.00N/07606.00W_360/000g000t063r000p000P000h89b10142L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-5:@020408z3648.00N/07606.00W_360/000g000t063r000p000P000h89b10141L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-7:@020413z3648.00N/07606.00W_360/000g000t063r000p000P000h89b10142L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-5:@020416z3650.16N/07603.39W_360/000g000t062r000p000P000h89b10142L000.DsIP
CW9721>APRS,TCPXX*,qAX,CWOP-7:@020418z3648.00N/07606.00W_360/000g000t063r000p000P000h89b10142L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-7:@020423z3648.00N/07606.00W_360/000g000t063r000p000P000h89b10142L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-6:@020428z3648.00N/07606.00W_360/000g000t063r000p000P000h89b10142L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-3:@020430z3650.16N/07603.39W_360/000g000t062r000p000P000h89b10142L000.DsIP
CW9721>APRS,TCPXX*,qAX,CWOP-6:@020433z3648.00N/07606.00W_360/000g000t063r000p000P000h89b10142L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-5:@020438z3648.00N/07606.00W_360/000g002t063r000p000P000h89b10141L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-3:@020443z3648.00N/07606.00W_360/000g002t062r000p000P000h89b10141L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-3:@020445z3650.16N/07603.39W_360/000g001t062r000p000P000h89b10141L000.DsIP
CW9721>APRS,TCPXX*,qAX,CWOP-4:@020448z3648.00N/07606.00W_360/000g001t062r000p000P000h89b10141L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-7:@020453z3648.00N/07606.00W_360/000g000t062r000p000P000h89b10140L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-7:@020458z3648.00N/07606.00W_360/000g000t062r000p000P000h89b10140L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-7:@020501z3650.16N/07603.39W_360/000g000t062r000p000P000h89b10140L000.DsIP
CW9721>APRS,TCPXX*,qAX,CWOP-7:@020508z3648.00N/07606.00W_360/000g001t062r000p000P000h89b10139L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-3:@020513z3648.00N/07606.00W_360/000g001t062r000p000P000h89b10139L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-6:@020516z3650.16N/07603.39W_360/000g000t062r000p000P000h89b10138L000.DsIP
CW9721>APRS,TCPXX*,qAX,CWOP-6:@020518z3648.00N/07606.00W_360/001g001t062r000p000P000h89b10139L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-7:@020523z3648.00N/07606.00W_360/000g001t062r000p000P000h90b10139L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-6:@020528z3648.00N/07606.00W_360/000g001t062r000p000P000h90b10139L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-5:@020530z3650.16N/07603.39W_360/000g001t061r000p000P000h90b10139L000.DsIP
CW9721>APRS,TCPXX*,qAX,CWOP-6:@020533z3648.00N/07606.00W_360/000g001t062r000p000P000h90b10140L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-6:@020538z3648.00N/07606.00W_360/000g000t062r000p000P000h90b10140L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-5:@020543z3648.00N/07606.00W_360/000g001t062r000p000P000h90b10139L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-6:@020545z3650.16N/07603.39W_360/000g001t061r000p000P000h90b10138L000.DsIP
CW9721>APRS,TCPXX*,qAX,CWOP-3:@020548z3648.00N/07606.00W_360/000g001t062r000p000P000h89b10138L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-5:@020553z3648.00N/07606.00W_360/000g001t062r000p000P000h89b10139L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-4:@020558z3648.00N/07606.00W_360/000g001t062r000p000P000h90b10138L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-5:@020600z3650.16N/07603.39W_360/000g001t061r000p000P000h90b10137L000.DsIP
CW9721>APRS,TCPXX*,qAX,CWOP-5:@020603z3648.00N/07606.00W_360/000g001t062r000p000P000h90b10139L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-4:@020608z3648.00N/07606.00W_360/000g000t062r000p000P000h90b10138L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-6:@020613z3648.00N/07606.00W_360/000g000t062r000p000P000h90b10137L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-3:@020618z3648.00N/07606.00W_360/000g000t062r000p000P000h90b10137L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-6:@020623z3648.00N/07606.00W_360/000g000t061r000p000P000h90b10137L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-4:@020628z3648.00N/07606.00W_360/000g000t061r000p000P000h90b10136L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-6:@020633z3648.00N/07606.00W_360/000g000t061r000p000P000h90b10137L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-4:@020639z3648.00N/07606.00W_360/000g000t061r000p000P000h90b10136L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-5:@020649z3648.00N/07606.00W_360/000g000t061r000p000P000h90b10135L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-4:@020659z3648.00N/07606.00W_360/000g000t061r000p000P000h90b10136L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-5:@020704z3648.00N/07606.00W_360/000g000t061r000p000P000h90b10136L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-3:@020709z3648.00N/07606.00W_360/000g000t061r000p000P000h90b10136L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-7:@020714z3648.00N/07606.00W_360/000g000t061r000p000P000h90b10137L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-4:@020718z3648.00N/07606.00W_360/000g000t061r000p000P000h90b10139L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-4:@020723z3648.00N/07606.00W_360/000g000t061r000p000P000h90b10139L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-4:@020729z3648.00N/07606.00W_360/000g000t061r000p000P000h90b10138L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-5:@020743z3648.00N/07606.00W_360/000g000t061r000p000P000h90b10138L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-7:@020749z3648.00N/07606.00W_360/000g000t060r000p000P000h90b10138L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-7:@020759z3648.00N/07606.00W_360/000g000t060r000p000P000h90b10139L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-6:@020803z3648.00N/07606.00W_360/000g000t060r000p000P000h90b10139L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-5:@020809z3648.00N/07606.00W_360/000g000t060r000p000P000h90b10139L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-3:@020819z3648.00N/07606.00W_360/000g000t060r000p000P000h90b10140L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-5:@020824z3648.00N/07606.00W_360/000g000t060r000p000P000h90b10140L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-3:@020834z3648.00N/07606.00W_360/000g000t060r000p000P000h90b10139L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-3:@020838z3648.00N/07606.00W_360/000g000t060r000p000P000h90b10139L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-3:@020843z3648.00N/07606.00W_360/000g000t060r000p000P000h90b10141L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-4:@020848z3648.00N/07606.00W_360/000g000t060r000p000P000h90b10141L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-6:@020859z3648.00N/07606.00W_360/000g000t060r000p000P000h90b10141L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-7:@020904z3648.00N/07606.00W_360/000g000t060r000p000P000h90b10142L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-7:@020909z3648.00N/07606.00W_360/000g000t060r000p000P000h90b10141L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-5:@020914z3648.00N/07606.00W_360/000g000t060r000p000P000h90b10142L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-3:@020918z3648.00N/07606.00W_360/000g000t060r000p000P000h90b10141L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-7:@020924z3648.00N/07606.00W_360/000g000t060r000p000P000h90b10141L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-7:@020928z3648.00N/07606.00W_360/000g000t060r000p000P000h90b10141L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-7:@020933z3648.00N/07606.00W_360/000g000t059r000p000P000h90b10140L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-6:@020939z3648.00N/07606.00W_360/000g000t059r000p000P000h90b10141L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-7:@020944z3648.00N/07606.00W_360/000g000t059r000p000P000h90b10141L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-3:@020948z3648.00N/07606.00W_360/000g000t059r000p000P000h90b10142L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-3:@020953z3648.00N/07606.00W_360/000g000t059r000p000P000h90b10143L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-4:@021004z3648.00N/07606.00W_360/000g000t059r000p000P000h90b10144L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-5:@021008z3648.00N/07606.00W_360/000g000t059r000p000P000h90b10145L005.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-4:@021013z3648.00N/07606.00W_360/000g001t059r000p000P000h90b10145L007.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-6:@021018z3648.00N/07606.00W_360/000g001t059r000p000P000h90b10146L009.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-6:@021023z3648.00N/07606.00W_360/000g001t059r000p000P000h90b10145L012.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-5:@021029z3648.00N/07606.00W_360/000g000t059r000p000P000h90b10146L016.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-6:@021034z3648.00N/07606.00W_360/000g000t059r000p000P000h90b10145L018.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-4:@021044z3648.00N/07606.00W_360/000g000t059r000p000P000h90b10147L023.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-6:@021048z3648.00N/07606.00W_360/000g000t059r000p000P000h90b10147L026.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-5:@021053z3648.00N/07606.00W_360/000g000t059r000p000P000h90b10147L028.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-5:@021103z3648.00N/07606.00W_360/000g000t059r000p000P000h90b10150L033.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-6:@021108z3648.00N/07606.00W_360/000g000t059r000p000P000h90b10149L037.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-4:@021113z3648.00N/07606.00W_360/000g001t060r000p000P000h90b10149L040.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-7:@021118z3648.00N/07606.00W_360/000g001t060r000p000P000h90b10151L044.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-3:@021134z3648.00N/07606.00W_360/000g001t062r000p000P000h90b10152L049.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-5:@021138z3648.00N/07606.00W_360/000g001t062r000p000P000h90b10154L086.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-6:@021144z3648.00N/07606.00W_360/001g002t063r000p000P000h90b10154L047.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-4:@021154z3648.00N/07606.00W_360/002g005t064r000p000P000h89b10153L049.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-3:@021159z3648.00N/07606.00W_360/001g004t064r000p000P000h89b10153L062.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-3:@021209z3648.00N/07606.00W_.../...g004t065r000p000P000h89b10152L299.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-5:@021218z3648.00N/07606.00W_360/000g005t066r000p000P000h89b10153L332.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-3:@021224z3648.00N/07606.00W_360/000g005t067r000p000P000h89b10153L352.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-4:@021229z3648.00N/07606.00W_.../...g004t068r000p000P000h89b10153L369.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-5:@021234z3648.00N/07606.00W_360/000g004t069r000p000P000h90b10154L387.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-3:@021244z3648.00N/07606.00W_360/000g004t071r000p000P000h88b10156L432.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-3:@021253z3648.00N/07606.00W_360/000g005t073r000p000P000h84b10155L469.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-5:@021259z3648.00N/07606.00W_.../...g004t074r000p000P000h83b10155L478.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-5:@021303z3648.00N/07606.00W_360/000g004t075r000p000P000h80b10154L499.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-4:@021309z3648.00N/07606.00W_360/000g004t076r000p000P000h78b10153L517.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-4:@021314z3648.00N/07606.00W_360/000g004t077r000p000P000h74b10154L540.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-3:@021319z3648.00N/07606.00W_.../...g004t077r000p000P000h72b10154L557.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-5:@021324z3648.00N/07606.00W_.../...g002t078r000p000P000h71b10155L575.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-6:@021329z3648.00N/07606.00W_.../...g002t078r000p000P000h70b10155L589.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-6:@021333z3648.00N/07606.00W_.../...g002t079r000p000P000h72b10155L605.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-5:@021338z3648.00N/07606.00W_.../...g004t079r000p000P000h70b10154L619.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-6:@021344z3648.00N/07606.00W_.../...g004t079r000p000P000h71b10153L636.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-4:@021349z3648.00N/07606.00W_.../...g004t079r000p000P000h68b10153L650.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-3:@021354z3648.00N/07606.00W_360/000g004t080r000p000P000h65b10153L664.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-6:@021404z3648.00N/07606.00W_.../...g005t081r000p000P000h64b10153L698.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-7:@021409z3648.00N/07606.00W_.../...g005t081r000p000P000h64b10153L710.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-4:@021418z3648.00N/07606.00W_360/000g005t082r000p000P000h61b10154L728.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-5:@021424z3648.00N/07606.00W_360/000g005t082r000p000P000h61b10154L747.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-3:@021429z3648.00N/07606.00W_360/000g006t083r000p000P000h62b10153L761.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-6:@021433z3648.00N/07606.00W_.../...g006t083r000p000P000h59b10153L772.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-4:@021438z3648.00N/07606.00W_.../...g006t083r000p000P000h59b10152L782.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-7:@021443z3648.00N/07606.00W_360/000g008t083r000p000P000h57b10152L798.DsVP